티스토리 뷰

반응형

반도체 용어정리

  • 반도체: 도체와 절연체 사이에 전기 전도성이 있는 물질.
  • 집적 회로(IC): 단일 반도체 기판에 상호 연결된 전자 부품으로 구성된 소형 전자 회로.
  • 트랜지스터: 전자 신호를 증폭 또는 전환하고 현대 전자 장치의 빌딩 블록을 형성하는 반도체 장치.
  • 다이오드: 한 방향으로 전류의 흐름을 허용하고 다른 방향으로 전류를 차단하는 반도체 소자.
  • 실리콘: 풍부하고 적합한 전기적 특성으로 인해 반도체 산업에서 가장 일반적으로 사용되는 반도체 재료.
  • 웨이퍼: IC 제조를 위한 기초로 사용되는 반도체 재료(일반적으로 실리콘)의 얇고 둥근 조각.
  • 기판: 반도체 장치의 층이 증착되거나 제조되는 기본 재료.
  • 제작(Fabrication): 증착, 리소그래피, 식각과 같은 다양한 단계를 포함하는 반도체 장치를 제조하는 공정.
  • 리소그래피(Lithography): 빛 또는 기타 방사선을 사용하여 반도체 웨이퍼에 패턴을 전사하는 공정.
  • 포토리소그래피(Photolithography): 빛을 사용하여 반도체 웨이퍼를 패터닝하는 리소그래피의 한 형태로 일련의 노출, 현상 및 에칭 단계를 포함합니다.
  • 식각(Etching): 화학적 또는 물리적 수단을 사용하여 반도체 웨이퍼의 특정 층을 선택적으로 제거하거나 수정하는 공정.
  • 증착: 종종 화학 기상 증착(CVD) 또는 물리 기상 증착(PVD)과 같은 기술을 사용하여 반도체 웨이퍼에 재료의 얇은 층을 증착하는 프로세스입니다.
  • 산화: 일반적으로 고온을 사용하여 반도체 웨이퍼에 얇은 산화물 층을 형성하는 공정입니다.
  • 확산: 전기적 특성을 변경하기 위해 반도체 재료에 불순물 원자(도펀트)를 도입하는 과정.
  • 이온 주입(Ion implantation): 이온을 가속하여 반도체 웨이퍼에 주입하여 전도성을 수정하는 공정.
  • 도핑: 전기적 특성을 변경하기 위해 반도체 재료에 의도적으로 불순물(도펀트)을 추가하는 것.
  • 접합: 종종 도핑에 의해 생성되는 반도체의 서로 다른 두 영역 사이의 인터페이스 또는 경계.
  • 소스: 전류가 트랜지스터 또는 기타 활성 장치에 들어가는 전극.
  • 드레인: 전류가 트랜지스터 또는 기타 활성 장치를 빠져나가는 전극.
  • 채널: 트랜지스터에서 전류가 흐르는 소스와 드레인 사이의 영역.
  • MOS(Metal-Oxide-Semiconductor): 금속 게이트, 산화물 절연체 및 반도체 채널을 사용하는 트랜지스터 유형.
  • CMOS(Complementary Metal-Oxide-Semiconductor): 단일 칩에 n형 및 p형 MOS 트랜지스터를 결합하여 저전력 디지털 논리를 가능하게 하는 기술.
  • 바이폴라 접합 트랜지스터(BJT): 증폭 또는 전환을 위해 전자 및 정공 전류를 모두 활용하는 트랜지스터.
  • 전계 효과 트랜지스터(FET): 전류 흐름을 제어하기 위해 전기장에 의존하는 트랜지스터.
  • FinFET: 전류 흐름에 대한 향상된 제어를 제공하는 핀 모양의 채널이 있는 트랜지스터 유형.
  • 고유전율 유전체(High-k dielectric): 고급 트랜지스터의 게이트 절연체로 기존 이산화규소를 대체하는 데 사용되는 고유전율을 갖는 유전체 재료.
  • 저유전율(Low-k dielectric): 집적 회로의 기생 커패시턴스를 줄이기 위해 사용되는 유전율이 낮은 유전체.
  • 임계 전압: 트랜지스터를 켜거나 전류 흐름을 유도하는 데 필요한 최소 전압.
  • 파괴 전압(Breakdown voltage): 절연 재료 또는 접합부가 파괴되어 전류가 흐르게 하는 전압.
  • 전도도: 전류를 전도하는 재료의 능력을 측정합니다.
  • 비저항: 전류 흐름에 대한 물질의 저항 측정.
  • 밴드갭: 반도체의 가전자대와 전도대 사이의 에너지 차이로 전기적 특성을 결정합니다.
  • 캐리어(carrier): 반도체에서 전하를 운반하는 입자, 전자 또는 정공.
  • 전자: 원자에 기본 전하 단위가 있는 음전하를 띤 입자.
  • 정공: 원자의 가전자대에 전자가 없음을 나타내는 양전하를 띤 입자.
  • 이동성: 캐리어가 전기장에 반응하여 반도체 재료를 통해 얼마나 쉽게 이동하는지 측정.
  • 컨덕턴스: 저항의 역수인 전류를 전도하는 재료의 능력 측정.
  • 절연체: 전류의 흐름을 제한하는 저항이 높은 물질.
  • 유전체: 전기장에 전기 에너지를 저장할 수 있는 절연 물질.
  • CMOS 스케일링: 트랜지스터 밀도를 높이고 성능을 향상시키기 위해 CMOS 트랜지스터의 피처 크기를 줄이는 프로세스.
  • 무어의 법칙(Moore's Law): 집적 회로의 트랜지스터 수가 대략 2년마다 두 배로 증가하여 계산 능력이 증가한다는 법칙
  • 프로세스 노드: 반도체 칩의 트랜지스터 및 기타 기능의 크기를 나타내는 용어로, 종종 나노미터 단위로 측정됨
  • 피처 크기(Feature size): 반도체 제조 공정에서 구조 또는 부품의 가장 작은 치수 또는 크기.
  • 게이트 산화물 두께: MOS 트랜지스터에서 게이트 절연체로 사용되는 산화물 층의 두께.
  • 일렉트로마이그레이션(Electromigration): 고밀도 전류의 흐름으로 인해 도체의 원자가 이동하는 과정으로 장치 고장이 발생할 수 있는 현상
  • 접합부 누설: 반도체 장치를 꺼야 할 때 접합부를 가로지르는 원치 않는 전류 흐름.
  • 래치업(Latch-up): 반도체 장치 내의 기생 회로가 자급자족하여 고전류, 저저항 경로를 유발하는 상태.
  • SRAM(Static Random-Access Memory): 플립플롭 회로를 사용하여 데이터를 저장하는 일종의 반도체 메모리로 빠른 액세스 시간과 휘발성 저장을 제공
  • DRAM(Dynamic Random-Access Memory): 커패시터를 사용하여 데이터를 저장하는 일종의 반도체 메모리로, 고밀도 저장을 제공하지만 주기적으로 새로 고쳐야 함
  • 플래시 메모리: 전기적으로 지우고 다시 프로그래밍할 수 있는 비휘발성 반도체 메모리로 솔리드 스테이트 드라이브와 메모리 카드에 일반적으로 사용됨
  • 비휘발성 메모리: 전원이 꺼지더라도 저장된 정보가 유지되는 메모리의 일종.
  • 읽기 전용 메모리(ROM): 데이터를 영구적으로 저장하는 비휘발성 메모리 유형으로, 일반적으로 펌웨어나 소프트웨어를 저장하는 데 사용됨
  • 플로팅 게이트 트랜지스터(Floating-gate transistor): EEPROM 및 플래시 메모리에 사용되는 전하를 저장할 수 있는 분리된 플로팅 게이트가 있는 트랜지스터 유형.
  • NAND 게이트: 논리 NAND 연산을 수행하는 논리 게이트로 일반적으로 디지털 논리 회로의 빌딩 블록으로 사용됩니다. NOR 게이트: 논리 NOR 연산을 수행하는 논리 게이트로 일반적으로 디지털 논리 회로의 빌딩 블록으로 사용됩니다.
  • 전력 반도체: 고전력 수준을 처리하도록 설계된 반도체 장치의 일종으로 전력 전자 응용 분야에 자주 사용됩니다.
  • 쇼트키 다이오드(Schottky diode): 금속과 반도체의 접합으로 형성된 다이오드로 순방향 전압 강하가 낮은 것이 특징이다. 전계 효과 통과 트랜지스터(Field-Effect Pass Transistor): 제어 전압에 응답하여 회로 경로를 연결하거나 분리하는 데 사용되는 트랜지스터로, 종종 전력 관리 응용 프로그램에 사용됩니다.
  • 멀티게이트 장치: 향상된 제어 및 성능을 위해 여러 게이트를 통합한 트랜지스터 구조.
  • SOI(Silicon on insulator): 절연층을 사용하여 기판에서 실리콘 소자층을 분리하여 기생 용량을 줄이고 성능을 향상시키는 기술.
  • BEOL(Back-end-of-line): 인터커넥트 배선 및 금속층을 포함하는 반도체 소자 제조의 마지막 단계.
  • FEOL(Front-end-of-line): 능동 장치 및 트랜지스터 구조 생성을 포함하는 반도체 장치 제조의 초기 단계.
  • 웨이퍼 본딩(Wafer bonding): 다양한 기술을 사용하여 두 개의 반도체 웨이퍼를 함께 결합하는 프로세스로, 서로 다른 재료 또는 구조의 통합을 가능하게 합니다.
  • 화학적 기계적 연마(CMP): 반도체 웨이퍼의 표면을 평탄화하고 매끄럽게 하기 위해 화학적 및 기계적 힘을 결합하는 공정. 계측: 정확도와 품질 관리를 보장하기 위해 반도체 제조 중 다양한 특성과 치수를 측정하고 분석합니다.
  • 수율(Yield): 반도체 제조 공정에서 얻은 무결함 장치 또는 작업 칩의 백분율.
  • DFM(Design for Manufacturability): 효율적이고 비용 효율적인 제조를 고려하여 반도체 장치를 설계하는 관행.
  • 고장 분석: 반도체 제조 또는 운영에서 장치 고장의 원인을 조사하고 식별하는 프로세스.
  • 웨이퍼 맵: 개별 장치 또는 다이의 위치와 상태를 보여주는 반도체 웨이퍼의 그래픽 표현입니다.
  • 다이(Die): 개별 부품으로 분리 및 패키징할 수 있는 반도체 웨이퍼의 개별 단위 또는 칩.
  • 플립 칩(Flip chip): 반도체 다이의 활성면을 기판이나 패키지에 직접 부착하여 전기적 성능을 향상시키는 패키징 기술.
  • TSV(Through-Silicon Via): 실리콘 기판을 통과하는 수직 전기 연결로 장치의 3차원 통합이 가능합니다.
  • 와이어 본딩: 미세 와이어를 사용하여 반도체 다이와 패키지의 외부 리드 사이에 전기적 연결을 생성하는 프로세스입니다. 유전 상수: 전기장에서 전기 에너지를 저장하는 물질의 능력을 측정한 것입니다.
  • 열전도도: 열을 얼마나 잘 전도하는지를 결정하는 재료의 특성.
  • Avalanche 항복: 다이오드나 트랜지스터에 걸리는 높은 역전압이 전류 흐름을 급격히 증가시키는 현상.
  • 쇼트키 장벽(Schottky barrier): 쇼트키 다이오드에서 금속-반도체 접합부에 형성되는 에너지 장벽.
  • 헤테로접합(Heterojunction): 서로 다른 밴드갭을 가진 두 개의 서로 다른 반도체 재료 사이의 접합.
  • 인터커넥트(Interconnect): 집적 회로 내에서 서로 다른 구성 요소 또는 장치를 연결하는 배선 또는 금속 레이어.
  • 비아(Via): 반도체 장치의 서로 다른 층 사이의 수직 전기 연결로, 전류 또는 신호가 통과할 수 있도록 합니다.
  • 마스크: 반도체 웨이퍼에 원하는 패턴을 정의하기 위해 리소그래피에 사용되는 패턴 템플릿.
  • 전자빔 리소그래피(E-beam lithography): 집속된 전자빔을 사용하여 반도체 웨이퍼에 미세한 패턴을 만드는 리소그래피 기술.
  • 스테퍼(Stepper): 반도체 웨이퍼에 패턴을 노출시키기 위해 포토리소그래피에 사용되는 정밀 기계.
  • 플라즈마 에칭: 플라즈마를 사용하여 반도체 웨이퍼에서 재료를 제거하는 건식 에칭 기술.
  • 습식 식각(wet etching): 물질을 선택적으로 제거하기 위해 액상 화학 물질을 사용하여 반도체 웨이퍼를 식각 하는 공정.
  • 화학 기상 증착(CVD): 기상에서 화학 반응에 의해 반도체 웨이퍼에 물질의 박막을 증착하는 데 사용되는 기술.
  • PVD(Physical Vapor Deposition): 증발 또는 스퍼터링과 같은 물리적 수단을 통해 반도체 웨이퍼에 물질의 박막을 증착하는 데 사용되는 기술입니다.
  • 스퍼터링(Sputtering): 대상 물질에 고에너지 이온을 충돌시켜 원자를 제거한 다음 반도체 웨이퍼에 증착하는 PVD 기술입니다.
  • 에피택시(Epitaxy): 고품질 반도체 재료를 성장시키는 데 사용되는 동일한 결정 구조를 가진 기판에 결정층을 증착하는 공정.
  • 게이트 길이: 트랜지스터의 게이트 영역의 물리적 길이로, 전기적 특성과 성능에 영향을 미칩니다.
  • 접촉 저항: 전기 접촉의 효율성에 영향을 미치는 금속과 반도체 재료 사이의 계면에서 만나는 저항.
  • 폴리실리콘(Polysilicon): 작은 결정 구조로 구성된 실리콘의 한 형태로, 일반적으로 MOS 트랜지스터의 게이트 재료로 사용됩니다.
  • DUV(Deep Ultraviolet): 파장이 300 나노미터 미만인 자외선으로 고급 포토리소그래피 기술에 사용됩니다. 전자빔 리소그래피(EBL): 반도체 웨이퍼에 미세한 패턴을 생성하기 위해 집중된 전자빔을 사용하는 리소그래피 기술.

반도체 용어정리

 

반응형